Ioannis Savidis

Publications

Summary of Research

There are many design aspects that require consideration and analysis in the development of a three-dimensional integrated circuit. More specifically, when considering power delivery, synchronization, and signalling in a TSV-based 3-D IC, the potential to integrate disparate technologies with independent voltage and peak power requirements, clock speeds, thermal design power, noise requirements, and a slew of other design considerations requires new methodologies and techniques beyond which are used for two-dimensional system-on-chip integrated circuits. In addition, considerations unique to 3-D ICs such as increased hot spot formation, place and route in the z direction as well as the x and y direction, and more importantly the potential to integrate ICs from different foundaries where not all design information is shared between vendors requires not only novel methodologies and techniques, but also novel circuits at the interface between the heterogeneous device planes. In my research, I developed circuit design techniques, methodologies, and algorithms at multiple levels of abstraction as well as experimental test vehicles to provide early ground work on synchronization, power delivery, and signalling in 3-D SoC based circuits.

 

 

Dissertation

link to top
  1. I. Savidis, Characterization and Modeling of TSV Based 3-D Integrated Circuits, Ph.D. Dissertation, University of Rochester, August 2013.

 

 

Book Chapter

link to top
  1. I. Savidis and E. G. Friedman, “Physical Design Trends for Interconnects,” On-Chip Communication Architectures System on Chip Interconnect , S. Pasricha and N. Dutt, Morgan Kaufmann Publishers, Elsevier, Chapter 11, pp. 403-437, 2008, ISBN # 978-0-12-373892-9.

Journal Papers

link to top
  1. I. Savidis, B. Vaisband, and E. G. Friedman, “Experimental Analysis of Thermal Coupling in 3-D Integrated Circuits,” IEEE Transactions on Very Large Scale Integration , Vol. 23, No. 10, pp. 2077-2089, October 2015.
  2. I. Savidis, S. Kose, and E. G. Friedman, “Power Noise in TSV-Based 3-D Integrated Circuits,” IEEE Journal of Solid-State Circuits, Vol. 48, No. 2, pp. 587-597, February 2013.
  3. B. Ciftcioglu , R. Berman, S. Wang, J. Hu, I. Savidis, M. Jain, D. Moore, M. Huang, E. G. Friedman, G. Wicks, and H. Wu, “3-D Integrated Heterogeneous Intra-Chip Free-Space Optical Interconnect,” Optics Express , Vol. 20, No. 4, pp. 4331-4345, February 2012.
  4. V. Pavlidis, I. Savidis, and E. G. Friedman, “Clock Distribution Networks in 3-D Integrated Systems,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems , Vol. 19, No. 12, pp. 2256-2266, December 2011.
  5. J. Wang, I. Savidis, and E. G. Friedman, “Thermal Analysis of Oxide-Confined VCSEL Arrays,” Microelectronics Journal , Vol. 42, No. 5, pp. 820-825, May 2011.
  6. B. Ciftcioglu, R. Berman, J. Zhang, Z. Darling, S. Wang, J. Hu, J. Xue, A. Garg, M. Jain, I. Savidis, D. Moore, M. Huang, E. G. Friedman, G. Wicks, and H. Wu, “A 3-D Integrated Intra-Chip Free-Space Optical Interconnect for Many-Core Chips,” IEEE Photonics Technology Letters , Vol. 23, No. 3, pp. 164-166, February 2011.
  7. I. Savidis, S. M. Alam, A. Jain, S. Pozder , R. E. Jones, and R. Chatterjee, “Electrical Modeling and Characterization of Through-Silicon vias (TSVs) for 3-D Integrated Circuits,” Microelectronics Journal , Vol. 41, No. 1, pp. 9-16, January 2010.
  8. I. Savidis and E. G. Friedman, “Closed-Form Expressions of 3-D Via Resistance, Inductance, and Capacitance,” IEEE Transactions on Electron Devices , Vol. 56, No. 9, pp. 1873-1881, September 2009.

Conference Papers

link to top
  1. M. K. Tavana, M. H. Hajkazemi, D. Pathak, I. Savidis, and H. Homayoun, “ElasticCore: Enabling Dynamic Heterogeneity With Joint Core and Voltage/Frequency Scaling,” Proceedings of the IEEE/ACM Design Automation Conference (DAC), pp. 1 - 6, June 2015.
  2. D. Pathak and I. Savidis, “Power Supply Voltage Detection and Clamping Circuit for 3-D Integrated Circuits,” Proceedings of the IEEE International SOI-3D-Subthreshold Microelectronics Technology Unified Conference , pp. 1-3, October 2014.
  3. D. Pathak and I. Savidis, “Run-Time Voltage Detection Circuit for 3-D IC Power Delivery,” Proceedings of the IEEE International System-on-Chip (SoC) Conference , pp. 183-187, September 2014.
  4. B. Vaisband, I. Savidis, and E. G. Friedman, “Thermal Conduction Path Analysis in 3-D ICs,” Proceedings of the IEEE Symposium on Circuits and Systems, , pp. 594-597, June 2014.
  5. I. Savidis and E. G. Friedman, “Thermal Coupling in TSV-Based 3-D Integrated Circuits,” Proceedings of the Workshop on 3-D Integration, Design, Automation & Test in Europe Conference , March 2014.
  6. I. Savidis and E. G. Friedman, “Test Circuits for 3-D Systems Integration,” Proceedings of the Government Microcircuit Applications & Critical Technology Conference (GOMACTech) , pp. 181-184, March 2012.
  7. H. Wu, B. Ciftcioglu , R. Berman, S. Wang, J. Hu, I. Savidis, M. Jain, D. Moore, M. Huang, E. Friedman, and G. Wicks, “Chip-Scale Demonstration of 3-D Integrated Intra-Chip Free-Space Optical Interconnect (Invited Paper),” Photonics West: SPIE Optoelectronic Integrated Circuits XIV, Feb. 2012.
  8. I. Savidis, V. Pavlidis, and E. G. Friedman, “Clock Distribution Models of 3-D Integrated Systems,” Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS) , pp. 2225-2228 May 2011.
  9. I. Savidis, S. Kose, and E. G. Friedman, “Power Grid Noise in TSV-Based 3-D Integrated Systems,” Proceedings of the Government Microcircuit Applications & Critical Technology Conference (GOMACTech) , pp. 129-132, March 2011.
  10. B. Ciftcioglu , R. Berman, J. Zhang, Z. Darling, A. Garg, J. Hu, M. Jain, P. Liu, I. Savidis, S. Wang, J. Xue, E. G Friedman, M. Huang, D. Moore, G. Wicks, and H. Wu, “Initial Results of Prototyping a 3-D Integrated Intra-Chip Free-Space Optical Interconnect,” Proceedings of the Workshop on the Interaction between Nanophotonic Devices and Systems (WINDS 2010) , December 2010.
  11. J. Xue, A. Garg, B. Ciftcioglu, J. Hu, S. Wang, I. Savidis, M. Jain, R. Berman, P. Liu, M. Huang, H. Wu, E. Friedman, G. Wicks, and D. Moore, “An Intra-Chip Free-Space Optical Interconnect,” ISCA '10: Proceedings of the 37th Annual International Symposium on Computer Architecture , pp. 94-105, June 2010.
  12. B. Ciftcioglu , R. Berman, J. Zhang, Z. Darling, S. Wang, J. Hu, J. Xue, A. Garg, M. Jain, I. Savidis, D. Moore, M. Huang, E. G Friedman, G. Wicks, and H. Wu, “3-D Integrated Intra-Chip Free-Space Optical Interconnect,” 2010 IEEE International Solid-State Circuits Conference (ISSCC) Student Research Forum, February 2010.
  13. J. Xue, A. Garg, B. Ciftcioglu, S. Wang, I. Savidis, J. Hu, M. Jain, M. Huang, H. Wu, E. G. Friedman, G. W. Wicks, and D. Moore, “An Intra-Chip Free-Space Optical Interconnect," Proceedings of the 3rd Workshop on Chip Multiprocessor Memory Systems and Interconnects (CMP-MSI ' 09) held in conjunction with the 36 th International Symposium on Computer Architecture , June 2009.
  14. I. Savidis, E. G. Friedman, V. F. Pavlidis, and G. De Micheli, “Clock and Power Distribution Networks for 3-D Integrated Circuits,” Proceedings of the Workshop on 3D Integration, Design, Automation & Test in Europe Conference, March 2009.
  15. I. Savidis, S. M. Alam, A. Jain, S. Pozder , R. E. Jones, and R. Chatterjee, “Electrical Modeling and Characterization of Through-Silicon vias (TSVs) for 3-D Integrated Circuits,” Proceedings of VLSI/ULSI Multilevel Interconnect Conference (VMIC) , pp. 181-186, Oct. 2008.
  16. V. F. Pavlidis, I. Savidis, E. G. Friedman, “Clock Distribution Architectures for 3-D SOI Integrated Circuits,” Proceedings of the IEEE International SOI Conference, pp. 111-112, October 2008.
  17. V. F. Pavlidis, I. Savidis, E. G. Friedman, “Clock Distribution Networks for 3-D Integrated Circuits,” Proceedings of the IEEE Custom Integrated Circuits Conference , pp. 651-654, September 2008.
  18. I. Savidis and Eby G. Friedman, “Electrical Modeling and Characterization of 3-D Vias,” Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS) , pp. 784-787, May 2008.
  19. I. Savidis, A. Jukna, and R. Sobolewski, “Contactless Determination of T c in Very Small Single Crystal MgB 2 and Thin Film YBCO,” IEEE Student Paper Contest SoutheastCon 2004 , March 2004.
  20. I. Savidis, and R. Sobolewski, “Characterization of Josephson Junctions,” University of Rochester Journal , August 2000.

Workshop Presentations

link to top
  1. I. Vaisband, S. Kose, I. Savidis, and E. G. Friedman, “On-Chip Power Delivery,” CEIS University Technology Showcase, Rochester, New York, April 6, 2011.
  2. I. Savidis and E. G. Friedman, “Clock Distribution Topologies for 3-D Integrated Circuits,” CEIS University Technology Showcase, Rochester, New York, February 12, 2009.
  3. V. F. Pavlidis, I. Savidis, and E. G. Friedman, “Clock Distribution Networks for 3-D Integrated Circuits,” Massachusetts Institute of Technology Lincoln Laboratory's 3-D Integrated Circuit Multi-Project Wafer Review, Boston, Massachusetts, September 15, 2008.
  4. I. Savidis and E. G. Friedman, “Electrical Modeling and Characterization of 3-D Vias,” IEEE International Symposium on Circuits and Systems (ISCAS) Best Paper Award Contest , May 2008.

Conference Presenter

link to top
  1. Presented “Clock Distribution Models of 3-D Integrated Systems,” at the IEEE International Symposium on Circuits and Systems (ISCAS) , May 2011.
  2. Presented “Power Grid Noise in TSV-Based 3-D Integrated Systems,” at the Proceedings of the Government Microcircuit Applications & Critical Technology Conference (GOMACTech) , March 2011.
  3. Presented “Clock Distribution and Power Delivery for 3-D Integrated Circuits,” at the Integrated Circuit Design Meeting (internal), January 2009.
  4. Presented “Clock Distribution Architectures for 3-D SOI Integrated Circuits,” at the IEEE International SOI Conference, pp. 111-112, October 2008.
  5. Presented “Electrical Modeling and Characterization of 3-D Vias,” at the IEEE International Symposium on Circuits and Systems (ISCAS) , May 2008.
  6. •  Presented “Clock and Power Distribution Networks for Three-Dimensional Circuits: Preliminary Design Review,” at the Integrated Circuits Design Meeting (internal), October 2006.
  7. Presented “Contactless Determination of T c in Very Small Single Crystal MgB 2 and Thin Film YBCO,” at the IEEE Student Paper Contest SoutheastCon 2004 , March 2004.

Significantly contributed in the development of presentations for Government Agencies:

Significantly contributed in the development of presentations for universities and conferences:

Technical Industrial Presentations

link to top
  1. Presented various bi-weekly update reports to Cisco Systems, Inc. for “3-D Test Circuit on Power Delivery,” Award number: 056165-002, May 2012 – August 2012.
  2. Presented “Recent Research in 3-D Circuit Design and Related Test Circuits,” to Qualcomm Research October 2011.
  3. Presented “Recent Research in 3-D Circuit Design and Related Test Circuits,” to Samsung Research September 2011.
  4. Presented “Lessons Learned in 3-D Verification,” to Kodak Research, Kodak Park, Rochester, NY, October 2008.

Significantly contributed in the development of presentations: